曙海教育集团
上海:021-51875830 北京:010-51292078
南京:4008699035 西安:029-86699670
武汉:027-50767718 成都:4008699035
深圳:4008699035 广州:4008699035
石家庄:4008699035 沈阳:024-31298103☆
全国免费电话:4008699035 QQ:1299983702 微信:15921673576
首页 课程表 报名 在线聊 讲师 品牌 QQ聊 活动 就业

 IC Compiler 1 培训

   课。程。背。景
The class begins with how to load the required synthesis and physical data required by IC Compiler (data setup), followed by creating a floorplan, including power grid, to meet timing and routeability throughout the flow (design planning). The placement flow focuses on optimizing the placement and logic for timing, congestion, power, and scan-chain ordering. The CTS unit covers controlling and building clock trees and performing additional timing optimization, followed by routing of the clock nets. In the routing unit, you will learn the signal routing and optimization steps based on the Zroute mode, including concurrent via doubling and antenna fixing. The chip finishing unit includes steps to improve yield and reliability, including wire spreading/widening, diode insertion, inserting filler cells, redundant via insertion, and metal filling.
Every lecture is accompanied by a comprehensive hands-on lab.
   课。程。目。标
  • Perform data setup, which includes loading required synthesis and physical data, creating a Milkyway design library, and applying common timing and optimization controls
  • Create a non-hierarchical chip-level floorplan that will be routable and will achieve timing closure
  • Perform placement and related optimizations to minimize timing violations, congestion, and power
  • Analyze congestion maps and timing reports
  • Perform pre-CTS power optimization
  • Perform clock tree synthesis
  • Analyze clock and timing results post-CTS
  • Route the clock nets
  • Execute a Zroute-based signal routing flow, with concurrent via doubling and antenna fixing
  • Analyze and fix physical DRC and LVS violations
  • Perform functional ECOs
  • Perform chip finishing steps
  • Generate output files required for final validation/verification
   班.级.规.模.及.环.境--热.线:4008699035 手.机:15921673576( 微.信.同.号)
       实战授课,培训后免费技术支持。注意:本课程一旦开课不予退费。
   时间地点
上课地点:【石家庄分部】:河北科技大学/瑞景大厦 【深圳分部】:电影大厦(地铁一号线大剧院站)/深圳大学成教院【广州分部】:广粮大厦 【西安分部】:协同大厦 【南京分部】:金港大厦(和燕路) 【武汉分部】:佳源大厦(高新二路)【沈阳分部】:沈阳理工大学/六宅臻品 【郑州分部】:郑州大学/锦华大厦 【上海】:同济大学(沪西)/新城金郡商务楼(11号线白银路站) 【北京分部】:北京中山学院/福鑫大楼 【成都分部】:领馆区1号(中和大道)
最近开课时间(周末班/连续班/晚班):
IC Compiler 1班:即将开课,详情请咨询客服!
   学时和费用
        ★课时: 请咨询在线客服

        
        ☆注重质量
        ☆边讲边练

        ☆合格学员免费推荐工作

        得到大家的认同,受到用人单位的广泛赞誉。

        ★实.验.设.备请点击这儿查看★
   最.新.优.惠
       ◆在读学生,可优惠。
   质.量.保.障

        1、免费重修;
        2、课程结束后,授课老师留联系方式,保障培训效果,免费技术支持。
        3、推荐机会。

   课程大纲:

IC Compiler 1 培训

课程内容:

阶段 1
  • Introduction and Overview
  • Data Setup and Basic Flow
  • Design Planning
阶段 2
  • Design Planning (Lab continued)
  • Placement
  • Clock Tree Synthesis
阶段 3
  • Clock Tree Synthesis (Lab continued)
  • Routing
  • Chip Finishing
  • Customer Support